Dr.-Ing. Stefan Weithoffer


Anschrift

IMT Atlantique
655 Avenue du Technopôle
29280 Plouzané, Frankreich

Forschungsgebiete

  • High-Speed Turbo-Code decoder architectures

Publikationen

Spatially Coupled Serially Concatenated Codes: Performance Evaluation and VLSI Design Tradeoffs
M. Mahdavi, S. Weithoffer, M. Herrmann, L. Liu, O. Edfors, N. Wehn, M. Lentmaier. IEEE Transactions on Circuits and Systems I: Regular Papers, March, 2022.

Towards Fully Pipelined Decoding of Spatially Coupled Serially Concatenated Codes
M. Mahdavi, L. Liu, O. Edfors, M. Lentmaier, N. Wehn, S. Weithoffer. International Symposium on Topics in Coding 2021 (ISTC 2021), September, 2021, Montréal, Canada.

Low-complexity Computational Units for the Local-SOVA Decoding Algorithm
S. Weithoffer, R. Klaimi, C. A. Nour, N. Wehn, C. Douillard. IEEE 31st PIMRC'20 - Workshop on Enabling Technologies for Terahertz Communications, September, 2020, London, UK.

Fully Pipelined Iteration Unrolled Decoders - The Road to Tb/s Turbo Decoding
S. Weithoffer, R. Klaimi, C. A. Nour, N. Wehn, C. Douillard. 45th International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2020), May, 2020, Barcelona, Spain.

Advanced Hardware Architectures for Turbo Code Decoding Beyond 100 Gb/s
S. Weithoffer, O. Griebel, R. Klaimi, C. A. Nour, N. Wehn. IEEE Wireless Communications and Networking Conference, April, 2020, Seoul, South Korea.

25 Years of Turbo Codes: From Mb/s to beyond 100 Gb/s
S. Weithoffer, C. A. Nour, N. Wehn, C. Douillard, C. Berrou. International Symposium on Turbo Codes & Iterative Information Processing (ISTC), December, 2018, Hong Kong, China.

Polar Code Decoder Exploration Framework
C. Kestel, S. Weithoffer, N. Wehn. Advances in Radio Science, Volume 16, September, 2018.

Where to go from Here? New Cross Layer Techniques for LTE Turbo-Code Decoding at High Code Rates
S. Weithoffer, N. Wehn. Advances in Radio Science, Volume 16, September, 2018.
Link

Low-Latency CRC calculation in Turbo-Code Decoding
S. Weithoffer, N. Wehn. International Journal of Wireless Information Networks, DOI: 10.1007/s10776-017-0374-z, Springer, 2017.
PDF

Advanced Wireless Digital Baseband Signal Processing Beyond 100 Gbit/s
S. Weithoffer, M. Herrmann, C. Kestel, N. Wehn. IEEE International Workshop on Signal Processing Systems (SIPS), October, 2017, Lorient, France.

Bit-level Pipelining for Highly Parallel Turbo-Code Decoders: A Critical Assessment
S. Weithoffer, K. Kraft, N. Wehn. IEEE AFRICON, September, 2017, Cape Town, South Africa.

A Heterogeneous SDR MPSoC in 28 nm CMOS for Low-Latency Wireless Applications
S. Haas, T. Seifert, B. Nöthen, E. Perez Adeva, T. Augustin, F. Pauls, S. Moriam, M. Hasler, E. Fischer, Y. Chen, E. Matus, S. Scholze, S. Höppner, A. Dixius, G. Ellguth, S. Hartmann, S. Schiefer, L. Cederström, D. Walter, S. Henker, S. Hänzsche, J. Uhlig, H. Eisenreich, S. Weithoffer, N. Wehn, R. Schueffny, C. Mayr, G. Fettweis. IEEE/ACM Design Automation Conference (DAC), June, 2017, Austin, Texas.

Enhanced decoding for high-rate LTE Turbo-Codes with short block lengths
S. Weithoffer, N. Wehn. IEEE International Conference on Communications (ICC), Workshop on Channel Coding for 5G and Future Networks, May, 2017, Paris, France.

Latency Reduced LTE-A Turbo-Code Decoding with Iteration Balancing on Transport Block Level
S. Weithoffer, N. Wehn. 11th International ITG Conference on Systems, Communications and Coding, February, 2017, Hamburg, Germany.

Advanced Iterative Channel Coding Schemes: When Shannon meets Moore
S. Scholl, S. Weithoffer, N. Wehn. Invited talk, 9th International Symposium on Turbo Codes & Iterative Information Processing, September, 2016, Brest, France.

On the applicability of Trellis Compression to Turbo-Code decoder hardware architectures
S. Weithoffer, F. Pohl, N. Wehn. 9th International Symposium on Turbo Codes & Iterative Information Processing, September, 2016, Brest, France.

Latency Reduction for LTE/LTE-A Turbo-Code decoders by On-the-fly calculation of CRC
S. Weithoffer, N. Wehn. IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), August, 2015, Hong Kong, China.

On Parallel Random Number Generation for Accelerating Hybrid Simulations of Communication Systems
C. Brugger, S. Weithoffer, C. De Schryver, U. Wasenmüller, N. Wehn. Advances in Radio Science, Volume 12, November, 2014.
Link

High-Performance Hardware Acceleration of Asset Simulations
C. De Schryver, H. Marxen, S. Weithoffer, N. Wehn. Springer New York, "High-Performance Computing using FPGAs", ISBN 978-1-4614-1790-3, May, 2013.
Link

Design space exploration of high throughput finite field multipliers for channel coding on Xilinx FPGAs
C. De Schryver, S. Weithoffer, U. Wasenmüller, N. Wehn. Advances in Radio Science, Volume 10, Pages 175–181, September, 2012.
Link